Research

Researchers use SHARP (The SHARP High-NA Actinic Reticle review Project) to investigate nearly every aspect of EUV mask research. Operating since mid-2013, SHARP has already made major contributions in a number of areas. Highlights from published work appears in the descriptions and links below.


EUV High-NA Imaging

SHARP was designed for research on the current and future generations of EUV lithography. Looking far into the future, SHARP supports 4xNA values up to 0.625 (mask-side 0.15625). Available 4xNA values are 0.25, 0.33, 0.35, 0.42, 0.50, and 0.625. Note that central ray angles of incidence (CRAO) beyond 6° are required for 4xNA values beyond 0.35.

1) Kenneth A. Goldberg, Markus P. Benk, Antoine Wojdyla, David G. Johnson, and Alexander P. Donoghue, “New ways of looking at masks with the SHARP EUV microscope,” SPIE 9422 94221A, (2015). DOI 10.1117/12.2175553 [PDF]


EUV Ananmorphic Imaging

EUV researchers have recently proposed anamorphic imaging as a solution for future EUV Lithography nodes. With decreasing printed feature sizes, without double-patterning, the NA at the wafer must continue to increase. In conventional lithography, with a fixed 4x magnification, this implies that the mask-side NA will increase proportionally. Unfortunately, beyond 10 or 11°, multilayer reflectivity rolls off, and a phase change appears that decreases telecentricity in the printed images (an undesirable effect that shifts an image through focus, only in one direction.) In 2014, researchers at Zeiss and ASML proposed anamorphic imaging as a solution for EUV lithography’s future nodes, beyond 0.33-NA.

An anamorphic system has different magnifications (and NA values) in the horizontal and vertical directions. This allows the NA to increase in the horizontal direction, where the angles of incidence on the ML are lower, while the NA in the vertical direction remains the same. In other words, mask pattern features only shrink in the horizontal direction, and uniform pattern size reduction is achieved by increase the demagnification, beyond 4x, in the vertical direction.

SHARP has already demonstrated anamorphic imaging and with dedicated zone plate lenses, it is ready to participate in this forward-looking research.

(PRESENTATION) Markus P. Benk, 2015 EUVL Symposium, Actinic mask imaging: Taking a SHARP look at next generation photomasks [13.9 MB, PPTX]


EUV FlexRay™ and Engineered Coherence for Source-Mask Optimization

In lithography, engineering the illumination partial coherence provides a way to control the properties of the aerial image. By changing the illumination pattern, the aerial image of specific pattern types can be tailored to deliver high image log slope, increase the sharpness of pattern corners, decrease sensitivity to pattern roughness, and improve the depth of focus. With the advent of pixellated pupil fills, such as ASML’s FlexRay™, computer-designed fill patterns can be used along with engineered pattern shapes in a process called Source Mask Optimization (SMO). SHARP’s illuminator can emulate arbitrary pupil fill patterns, including those found in ASML lithography tools.

1) Kenneth A. Goldberg, Markus P. Benk, Antoine Wojdyla, David G. Johnson, and Alexander P. Donoghue, “New ways of looking at masks with the SHARP EUV microscope,” SPIE 9422 94221A, (2015). DOI 10.1117/12.2175553 [PDF]


High-Resolution EUV Imaging (down to 22-nm hp, mask scale)

Using our highest NA lenses (0.625 4xNA), SHARP has demonstrated imaging of dense mask pattern features with sizes down to 22-nm half-pitch, using extreme dipole illumination. The test patterns imaged in these experiments were printed, using the Berkeley MET, in an experimental Inpria metal-oxide photoresist, directly onto a multilayer-coated silicon wafer.

1) Markus P. Benk, Kenneth A. Goldberg, Antoine Wojdyla, Christopher N. Anderson, Farhad Salmassi, Patrick P. Naulleau, and Michael Kocsis, “Demonstration of 22-nm half pitch resolution on the SHARP EUV microscope,” Journal of Vacuum Science and Technology B 33 (6), 06FE01 (2015). DOI 10.1116/1.4929509 [PDF]

(PRESENTATION) Markus P. Benk, 2015 EIPBN, Demonstration of 22-nm half-pitch resolution at the SHARP microscope [13.1 MB, PPTX]


EUV Multilayer and Absorber Architecture

EUV Multilayer deposition has been the subject of research for more than 30 years, yet progress is still being made in layer smoothing and the reduction of correlated roughness, which propagates upward from imperfections in the substrate. Roughness specifications become extremely tight for high-volume manufacturing. SHARP can image the complex amplitude in the aerial image, revealing the effects of surface roughness.
Shadowing from the 3D structure of the mask absorber and the off-axis illumination angles created asymmetry in the printed image, often referred to as h-v bias. Research into various buffer layers and absorber material combinations and thicknesses has pointed researchers toward novel, thinner absorber materials that have high opacity blow reduced shadowing effects. SHARP can be used for h-v bias studies that include these effects. The most effective experiments are A to B comparisons of similar mask patterns prepared with different architectures.
Other researchers have investigated defect printing under various coating deposition specifications.

1) H. J. Kwon, J. Harris-Jones, A. Cordes, M. Satake, Y. Li, I. Mochi, and K. A. Goldberg, “EUV mask multilayer defects and their printability under different multilayer deposition conditions,” Proc. SPIE 8322, 832209 (2012). DOI 10.1117/12.916374 [PDF]

2) S. RaghunathS. Raghunathan, O. R. Wood II, P. Mangat, E. Verduijn, V. Philipsen, E. Hendrickx, R. Jonckheere, K. A. Goldberg, M. P. Benk, P. Kearney, Z. Levinson and B. W. Smith, “Experimental measurements of telecentricity errors in high-numerical-aperture extreme ultraviolet mask images,” J. Vac. Sci. Technol. B 32, 06F801 (2014). DOI 10.1116/1.4901876 [PDF]


EUV Telecentricity

Asymmetrical pattern motion through focus reduces the process window and can cause problems for pattern placement on the nanometer scales. These effects arise from the off-axis angles of incidence, from mask absorber topology, and from phase effects within the multilayer itself. SHARP can detect and quantify relative pattern motion in specially designed test patterns used to evaluate the telecentricity issue.

1) S. RaghunathS. Raghunathan, O. R. Wood II, P. Mangat, E. Verduijn, V. Philipsen, E. Hendrickx, R. Jonckheere, K. A. Goldberg, M. P. Benk, P. Kearney, Z. Levinson and B. W. Smith, “Experimental measurements of telecentricity errors in high-numerical-aperture extreme ultraviolet mask images,” J. Vac. Sci. Technol. B 32, 06F801 (2014). DOI 10.1116/1.4901876 [PDF]


EUV SRAF (Sub-Resolution Assist Features)

Lithographers create mask patterns with very fine features—below the resolution of the projection lens—to alter and improve the printing properties. Such features are often referred to as SRAF. In EUV masks, SRAF engineering can encounter subtle phase effects that hurt performance. SHARP can image the phase and amplitude of sub-resolution patterns to expand our understanding of the real-world mask response.

1) Kenneth A. Goldberg, Markus P. Benk, Antoine Wojdyla, David G. Johnson, and Alexander P. Donoghue, “New ways of looking at masks with the SHARP EUV microscope,” SPIE 9422 94221A, (2015). DOI 10.1117/12.2175553 [PDF]

(PRESENTATION) Kenneth A. Goldberg, 2015 SPIE Advanced Lithography, New Ways of Looking at Masks with the SHARP EUV Microscope[25 MB, PPTX]


EUV Correlated Mask and Pattern Roughness

Mask substrate and multilayer roughness can induce printed pattern roughness. It largely couples into the aerial image as phase roughness, which is impossible to detect or quantify using any technique besides actinic imaging, with illumination properties matching printing conditions. SHARP can be used to quantify and study phase roughness from all sources.

1) Rene A. Claus, Antoine Wojdyla, Markus P. Benk, Kenneth A. Goldberg, Andrew R. Neureuther, Patrick P. Naulleau, and Laura Waller, “Aberration estimation using EUV mask roughness,” SPIE 9422 942214, (2015). DOI 10.1117/12.2087513 [PDF]

2) Pei-Yang Yan, Guojing Zhang, Eric M. Gullikson, Kenneth A. Goldberg, Markus P. Benk, “Understanding EUV mask blank surface roughness induced LWR and associated roughness requirement,” SPIE 9422 94220J, (2015). DOI 10.1117/12.2087041 [PDF]

3) Naulleau, P., S. Bhattaria, R. Chao, R. Claus, K.A. Goldberg, F. Goodwin, E. Gullikson, D. Lee, A. Neureuther, and J.-J. Park, “Extreme ultraviolet mask roughness: requirements, characterization, and modeling,” SPIE 9256 92560J, (2014). DOI 10.1117/12.2070303 [PDF]


EUV Phase Imaging

Phase dictates how light propagates through focus at the smallest and largest length scales. We believe the role of phase has been underappreciated, since it is central to understanding how defects change the printing process window. Using various techniques including Fourier Ptychography (FP) Microscopy, and through-focus phase reconstruction, SHARP can extract the complex amplitude of the aerial image, point-by-point, including phase, providing a greater understanding of defects.

1) Rene A. Claus, Yow-Gwo Wang, Antoine Wojdyla, Markus P. Benk, Kenneth A. Goldberg, Andrew R. Neureuther, Patrick P. Naulleau, “Examination of phase retrieval algorithms for patterned EUV mask metrology,” SPIE 9635 96350F, (2015). DOI 10.1117/12.2197868 [PDF]

1) Kenneth A. Goldberg, Markus P. Benk, Antoine Wojdyla, David G. Johnson, and Alexander P. Donoghue, “New ways of looking at masks with the SHARP EUV microscope,” SPIE 9422 94221A, (2015). DOI 10.1117/12.2175553 [PDF]


EUV Native Pattern and Substrate Defects

Defects are the central issue for EUV masks. Defects as small as 10-nm wide, and 0.5-nm tall can print. They arise from particles, bumps and pits on the substrate, from within the multilayer coating, and from problems occurring in the absorber pattern itself. Since pattern placement and illumination conditions can strongly influence the printability of defects, actinic imaging, emulating printing conditions, is an essential tool for defect assessment, repair, and verification. SHARP provides and ideal test-bed for assessing known defects and repairs under different conditions, and for developing effective defect repair strategies.

1) Takeshi Isogawa, Kazunori Seki, Mark Lawliss, Zhengqing John Qi, Jed Rankin, Shinji Akima, “Evaluation of multilayer defect repair viability and protection techniques for EUV masks,” SPIE 9635 963518, (2015). DOI 10.1117/12.2197761 [PDF]

2) Takeshi Isogawa, Kazunori Seki, Mark Lawliss, Emily Gallagher, Shinji Akima, Toshio Konishi, “Screening EUV mask absorbers for defect repair,” SPIE Photomask Japan 9256 92560N, (2014). DOI 10.1117/12.2070251 [PDF]

3) M. Lawliss, E. Gallagher, M. Hibbs , K. Seki, T. Isogawa, T. Robinson, J. LeClaire, “Repairing native defects on EUV mask blanks,” SPIE Photomask Technology 9235 923516, (2014). DOI 10.1117/12.2069787 [PDF]

4) G. R. McIntyre, E. E. Gallagher, T. E. Robinson, Smith, A. C., M. Lawliss, J. LeClaire, R. Bozak, R. White, M. Archuletta, “Through-focus EUV multilayer defect repair with nanomachining,” SPIE 8679 86791I, (2013). DOI 10.1117/12.2014935


Comparison of Actinic Imaging with Wafer and Mask SEM

Recent cross-comparison measurements demonstrate that SHARP can reproduce wafer print images with high predictive ability, and fine detail. In many cases, images of known mask defects underestimate the size of printed defects indicating that sub-surface structure, or the disruption of the multilayer coating can be significant effects.

1) Kenneth A. Goldberg, Markus P. Benk, Antoine Wojdyla, Erik Verduijn, Obert R. Wood III, and Pawitter Mangat, “EUV actinic brightfield mask microscopy for predicting printed defect images,” SPIE 9635 963514, (2015). DOI 10.1117/12.2196966 [PDF]

(PRESENTATION) Kenneth A. Goldberg, 2015 SPIE Photomask BACUS, EUV actinic brightfield mask microscopy for predicting defect aerial images [56 MB, PPTX]